Proses 7 nm

Dari Wikipedia bahasa Indonesia, ensiklopedia bebas

Pada bidang manufaktur semikonduktor, dalam Peta Jalan Perangkat dan Sistem Internasional mendefinisikan proses 7 nm adalah generasi lanjutan dari teknologi MOSFET proses 10 nm. Proses ini didasarkan pada teknologi FinFET (fin field-effect transistor), sejenis teknologi MOSFET multi-gerbang.

Taiwan Semiconductor Manufacturing Company (TSMC) memulai produksi chip memori SRAM 256 Mbit menggunakan proses 7 nm yang disebut N7 pada Juni 2016,[1] kemudian Samsung memulai produksi massal proses 7 nm mereka yang disebut perangkat 7LPP pada tahun 2018.[2] Produk awal untuk teknologi prosesor 7 nm adalah Apple A12 Bionic, dirilis pada acara Apple September 2018.[3] Meskipun Huawei mengumumkan prosesor 7 nm (Kirin 980) miliknya sendiri sebelum Apple A12 Bionic, pada 31 Agustus 2018, namun Apple A12 Bionic dirilis di pasarkan massal lebih awal. Kedua chip tersebut diproduksi oleh TSMC.[4]

Pada tahun 2017 AMD merilis prosesor "Roma" (EPYC 2) untuk aplikasi server dan pusat data, yang didasarkan pada proses N7 TSMC [5] dengan fitur 64 inti dan 128 utas. Mereka juga merilis prosesor desktop konsumen "Matisse" dengan fitur 16 inti dan 32 utas. Namun, cetakan I/O pada modul multi-chip Roma (MCM) dibuat dengan proses 14 nm (14HP) oleh GlobalFoundries, sementara cetakan I/O Matisse menggunakan proses 12 nm (12LP+) GlobalFoundries. Seri Radeon RX 5000 juga didasarkan pada proses N7 TSMC.[6]

Proses node 7 nm dan proses offering
Samsung TSMC Intel SMIC
Process name 7LPP[7][8] 6LPP[9] N7[10] N7P[11] N7+[12] N6 Intel 7[13][diperdebatkan] (10nm)[14] N+1 (>7 nm) N+2 (7 nm) 7 nm EUV
Transistor density (MTr/mm2) 95.08–100.59[15][16] 112.79 91.2–96.5[17][18] 113.9[17] 114.2[19] 100.76–106.1[20][21] 60.41[22] 89[23] 113.6[24] Tidak diketahui
SRAM bit-cell size 0.0262 μm2[25] Tidak diketahui 0.027 μm2[25] Tidak diketahui Tidak diketahui 0.0312 μm2 Tidak diketahui Tidak diketahui Tidak diketahui
Transistor gate pitch 54 nm Tidak diketahui 57 nm 54 nm Tidak diketahui 63 nm Tidak diketahui
Transistor fin pitch 27 nm Tidak diketahui N/A Tidak diketahui Tidak diketahui 34 nm Tidak diketahui Tidak diketahui Tidak diketahui
Transistor fin height Tidak diketahui Tidak diketahui N/A Tidak diketahui Tidak diketahui 53 nm Tidak diketahui Tidak diketahui Tidak diketahui
Minimum (metal) pitch 46 nm Tidak diketahui 40 nm 40 nm[26] Tidak diketahui 42 nm Tidak diketahui
EUV implementation 36 nm pitch metal;[6]
20% of total layer set
Tidak diketahui None, used self-aligned quad patterning (SAQP) instead 4 layers 5 layers None. Relied on SAQP heavily None None Yes (after N+2)
EUV-limited wafer output 1500 wafers/day[27] Tidak diketahui N/A ~ 1000 wafers/day[28] Tidak diketahui N/A Tidak diketahui Tidak diketahui Tidak diketahui
Multipatterning
(≥ 2 masks on a layer)
Fins
Gate
Vias (double-patterned)[29]
Metal 1 (triple-patterned)[29]
44 nm pitch metal (quad-patterned)[6]
Tidak diketahui Fins
Gate
Contacts/vias (quad-patterned)[30]
Lowest 10 metal layers
Same as N7, with reduction on 4 EUV layers Same as N7, with reduction on 5 EUV layers multipatterning with DUV multipatterning with DUV Tidak diketahui
Release status 2018 risk production
2019 production
2020 production 2017 risk production
2018 production[1]
2019 production 2018 risk production[1]
2019 production
2020 risk production
2020 production
2021 production[13] April 2021 risk production, mass production unknown Late 2021 risk production, quietly produced since July 2021[31] Postponed due to US embargo

Lihat pula[sunting | sunting sumber]

Referensi[sunting | sunting sumber]

  1. ^ a b c "7nm Technology". TSMC. Diakses tanggal June 30, 2019. 
  2. ^ Chen, Monica; Shen, Jessie (22 June 2018). "TSMC ramping up 7nm chip production". DigiTimes. Diakses tanggal September 17, 2022. 
  3. ^ Shankland, Stephen (September 12, 2018). "Apple's A12 Bionic CPU for the new iPhone XS is ahead of the industry moving to 7nm chip manufacturing tech". CNET. Diakses tanggal September 16, 2018. 
  4. ^ Summers, N. (September 12, 2018). "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget (dalam bahasa Inggris). Diakses tanggal September 20, 2018. 
  5. ^ Smith, Ryan (July 26, 2018). "AMD "Rome" EPYC CPUs to Be Fabbed By TSMC". AnandTech. Diakses tanggal 18 June 2019. 
  6. ^ a b c J. Kim et al., Proc. SPIE 10962, 1096204 (2019).
  7. ^ "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip. August 4, 2018. Diakses tanggal September 16, 2022. 
  8. ^ "Samsung Electronics Starts Production of EUV-based 7nm LPP Process". Samsung Newsroom. October 18, 2018. Diakses tanggal September 16, 2022. 
  9. ^ "Samsung Starts Mass Production at V1: A Dedicated EUV Fab for 7nm, 6nm, 5nm, 4nm, 3nm Nodes". 
  10. ^ IEDM 2016
  11. ^ Schor, David (July 28, 2019). "TSMC Talks 7nm, 5nm, Yield, And Next-Gen 5G And HPC Packaging". WikiChip Fuse (dalam bahasa Inggris). Diakses tanggal September 13, 2019. 
  12. ^ "TSMC Goes Photon to Cloud". EETimes. October 4, 2018. 
  13. ^ a b Cutress, Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Diakses tanggal 2021-07-27. 
  14. ^ Bonshor, Gavin (20 October 2022). "Intel Core i9-13900K and i5-13600K Review: Raptor Lake Brings More Bite". AnandTech. Diakses tanggal 28 September 2023. 
  15. ^ "Can TSMC Maintain Their Process Technology Lead". July 18, 2023. 
  16. ^ "Samsung 3nm GAAFET Enters Risk Production; Discusses Next-Gen Improvements". July 5, 2022. 
  17. ^ a b Jones, Scotten (May 3, 2019). "TSMC and Samsung 5nm Comparison". Semiwiki. Diakses tanggal 30 July 2019. 
  18. ^ "N3E Replaces N3; Comes in Many Flavors". September 4, 2022. 
  19. ^ Schor, David (April 16, 2019). "TSMC Announces 6-Nanometer Process". WikiChip Fuse (dalam bahasa Inggris). Diakses tanggal May 31, 2019. 
  20. ^ Jones, Scotten (July 18, 2023), Can TSMC Maintain Their Process Technology Lead 
  21. ^ "Intel's Process Roadmap to 2025: With 4nm, 3nm, 20A and 18A?!". 
  22. ^ Schor, David (2022-06-19). "A Look At Intel 4 Process Technology". WikiChip Fuse. 
  23. ^ SMIC Mass Produces 14nm Nodes, Advances To 5nm, 7nm, September 16, 2022 
  24. ^ "百度安全验证". wappass.baidu.com. Diakses tanggal 2023-09-06. 
  25. ^ a b "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip Fuse (dalam bahasa Inggris). 2018-08-04. Diakses tanggal 2019-05-31. 
  26. ^ Smith, Ryan (June 13, 2022). "Intel 4 Process Node In Detail: 2x Density Scaling, 20% Improved Performance". AnandTech. Diakses tanggal September 17, 2022. 
  27. ^ "Samsung Ramps 7nm EUV Chips". EETimes. October 17, 2018. 
  28. ^ "TSMC Q1 2018 earnings call transcript, p.12" (PDF). Diarsipkan dari versi asli (PDF) tanggal October 14, 2018. Diakses tanggal October 14, 2018. 
  29. ^ a b W. C. Jeong et al., VLSI Technology 2017.
  30. ^ Dillinger, Tom (March 23, 2017). "Top 10 Updates from the TSMC Technology Symposium, Part II". SemiWiki. Diakses tanggal September 16, 2022. 
  31. ^ Paul Alcorn (21 July 2022). "China's SMIC Shipping 7nm Chips, Reportedly Copied TSMC's Tech". Tom's Hardware. 

Prana Luar[sunting | sunting sumber]


Didahului oleh
10 nm
proses MOSFET
fabrikasi perangkat semikonduktor
Dilanjutkan oleh
5 nm